-
Notifications
You must be signed in to change notification settings - Fork 0
/
references.bib
2760 lines (2357 loc) · 97.3 KB
/
references.bib
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
30
31
32
33
34
35
36
37
38
39
40
41
42
43
44
45
46
47
48
49
50
51
52
53
54
55
56
57
58
59
60
61
62
63
64
65
66
67
68
69
70
71
72
73
74
75
76
77
78
79
80
81
82
83
84
85
86
87
88
89
90
91
92
93
94
95
96
97
98
99
100
101
102
103
104
105
106
107
108
109
110
111
112
113
114
115
116
117
118
119
120
121
122
123
124
125
126
127
128
129
130
131
132
133
134
135
136
137
138
139
140
141
142
143
144
145
146
147
148
149
150
151
152
153
154
155
156
157
158
159
160
161
162
163
164
165
166
167
168
169
170
171
172
173
174
175
176
177
178
179
180
181
182
183
184
185
186
187
188
189
190
191
192
193
194
195
196
197
198
199
200
201
202
203
204
205
206
207
208
209
210
211
212
213
214
215
216
217
218
219
220
221
222
223
224
225
226
227
228
229
230
231
232
233
234
235
236
237
238
239
240
241
242
243
244
245
246
247
248
249
250
251
252
253
254
255
256
257
258
259
260
261
262
263
264
265
266
267
268
269
270
271
272
273
274
275
276
277
278
279
280
281
282
283
284
285
286
287
288
289
290
291
292
293
294
295
296
297
298
299
300
301
302
303
304
305
306
307
308
309
310
311
312
313
314
315
316
317
318
319
320
321
322
323
324
325
326
327
328
329
330
331
332
333
334
335
336
337
338
339
340
341
342
343
344
345
346
347
348
349
350
351
352
353
354
355
356
357
358
359
360
361
362
363
364
365
366
367
368
369
370
371
372
373
374
375
376
377
378
379
380
381
382
383
384
385
386
387
388
389
390
391
392
393
394
395
396
397
398
399
400
401
402
403
404
405
406
407
408
409
410
411
412
413
414
415
416
417
418
419
420
421
422
423
424
425
426
427
428
429
430
431
432
433
434
435
436
437
438
439
440
441
442
443
444
445
446
447
448
449
450
451
452
453
454
455
456
457
458
459
460
461
462
463
464
465
466
467
468
469
470
471
472
473
474
475
476
477
478
479
480
481
482
483
484
485
486
487
488
489
490
491
492
493
494
495
496
497
498
499
500
501
502
503
504
505
506
507
508
509
510
511
512
513
514
515
516
517
518
519
520
521
522
523
524
525
526
527
528
529
530
531
532
533
534
535
536
537
538
539
540
541
542
543
544
545
546
547
548
549
550
551
552
553
554
555
556
557
558
559
560
561
562
563
564
565
566
567
568
569
570
571
572
573
574
575
576
577
578
579
580
581
582
583
584
585
586
587
588
589
590
591
592
593
594
595
596
597
598
599
600
601
602
603
604
605
606
607
608
609
610
611
612
613
614
615
616
617
618
619
620
621
622
623
624
625
626
627
628
629
630
631
632
633
634
635
636
637
638
639
640
641
642
643
644
645
646
647
648
649
650
651
652
653
654
655
656
657
658
659
660
661
662
663
664
665
666
667
668
669
670
671
672
673
674
675
676
677
678
679
680
681
682
683
684
685
686
687
688
689
690
691
692
693
694
695
696
697
698
699
700
701
702
703
704
705
706
707
708
709
710
711
712
713
714
715
716
717
718
719
720
721
722
723
724
725
726
727
728
729
730
731
732
733
734
735
736
737
738
739
740
741
742
743
744
745
746
747
748
749
750
751
752
753
754
755
756
757
758
759
760
761
762
763
764
765
766
767
768
769
770
771
772
773
774
775
776
777
778
779
780
781
782
783
784
785
786
787
788
789
790
791
792
793
794
795
796
797
798
799
800
801
802
803
804
805
806
807
808
809
810
811
812
813
814
815
816
817
818
819
820
821
822
823
824
825
826
827
828
829
830
831
832
833
834
835
836
837
838
839
840
841
842
843
844
845
846
847
848
849
850
851
852
853
854
855
856
857
858
859
860
861
862
863
864
865
866
867
868
869
870
871
872
873
874
875
876
877
878
879
880
881
882
883
884
885
886
887
888
889
890
891
892
893
894
895
896
897
898
899
900
901
902
903
904
905
906
907
908
909
910
911
912
913
914
915
916
917
918
919
920
921
922
923
924
925
926
927
928
929
930
931
932
933
934
935
936
937
938
939
940
941
942
943
944
945
946
947
948
949
950
951
952
953
954
955
956
957
958
959
960
961
962
963
964
965
966
967
968
969
970
971
972
973
974
975
976
977
978
979
980
981
982
983
984
985
986
987
988
989
990
991
992
993
994
995
996
997
998
999
1000
\bibliographystyle{}
\bibliography{}
@phdthesis{Keller2017Dissertation,
Author = {Keller, Ben},
Title = {Energy-Efficient System Design Through Adaptive Voltage Scaling},
School = {Department of Electrical Engineering and Computer Sciences, University of California, Berkeley},
Year = {2017},
Month = {12},
}
@ARTICLE{Tschanz2002,
author={J. W. Tschanz and J. T. Kao and S. G. Narendra and R. Nair and D. A. Antoniadis and A. P. Chandrakasan and V. De},
journal={IEEE Journal of Solid-State Circuits},
title={Adaptive body bias for reducing impacts of die-to-die and within-die parameter variations on microprocessor frequency and leakage},
year={2002},
volume={37},
number={11},
pages={1396-1402},
month={Nov},}
@INPROCEEDINGS{Tsukikawa1993,
author={Tsukikawa and Kajimoto and Okasaka and Miyamoto and Ozaki},
booktitle={Proceedings of the Symposium on VLSI Circuits},
title={An efficient back-bias generator with hybrid pumping circuit for 1.5 V DRAMs},
year={1993},
volume={},
number={},
pages={85-86},
ISSN={},
month={May},}
@mastersthesis{Keller2015MS,
Author = {Keller, Ben},
Title = {Opportunities for Fine-Grained Adaptive Voltage Scaling to Improve System-Level Energy Efficiency},
school = "Department of Electrical Engineering and Computer Sciences, University of California, Berkeley",
Year = {2015},
Month = {12},
}
@INPROCEEDINGS{Jung2016,
author={W. Jung and D. Sylvester and D. Blaauw},
booktitle={IEEE International Solid-State Circuits Conference Digest of Technical Papers},
title={A rational-conversion-ratio switched-capacitor {DC-DC} converter using negative-output feedback},
year={2016},
pages={218-219},
month={1},}
@INPROCEEDINGS{Salem2015,
author={L. G. Salem and P. P. Mercier},
booktitle={Proceedings of the Symposium on VLSI Circuits},
title={A battery-connected 24-ratio switched capacitor {PMIC} achieving 95.5\%-efficiency},
year={2015},
pages={C340-C341},
month={6},}
@techreport{TI2016,
Title = {Switching Regulator Fundamentals},
Institution = {Texas Instruments},
Year = {2016},
Month = {9},
Number = {SNVA559A}
}
@article{Henning2006,
author = {Henning, John L.},
title = {{SPEC CPU2006} Benchmark Descriptions},
journal = {SIGARCH Computer Architecture News},
issue_date = {September 2006},
volume = {34},
number = {4},
month = 9,
year = {2006},
pages = {1--17},
numpages = {17},
}
@INPROCEEDINGS{Coombs2017,
author={D. Coombs and A. Elkholy and R. K. Nandwana and A. Elmallah and P. K. Hanumolu},
booktitle={IEEE International Solid-State Circuits Conference Digest of Technical Papers},
title={A {2.5-to-5.75GHz} {5mW} 0.3ps\textsubscript{rms}-jitter cascaded ring-based digital injection-locked clock multiplier in 65nm {CMOS}},
year={2017},
pages={152-153},
month={2},}
@INPROCEEDINGS{Ngo2017,
author={H. C. Ngo and K. Nakata and T. Yoshioka and Y. Terashima and K. Okada and A. Matsuzawa},
booktitle={IEEE International Solid-State Circuits Conference Digest of Technical Papers},
title={A 0.42ps-jitter {-241.7dB-FOM} synthesizable injection-locked {PLL} with noise-isolation {LDO}},
year={2017},
pages={150-151},
month={2},}
@INPROCEEDINGS{Cho2017,
author={H. Cho and K. Seong and K. H. Choi and J. H. Choi and B. Kim and H. J. Park and J. Y. Sim},
booktitle={IEEE International Solid-State Circuits Conference Digest of Technical Papers},
title={A 0.0047mm$^2$ highly synthesizable {TDC-} and {DCO-less} {fractional-N} {PLL} with a seamless lock range of f\textsubscript{REF} to {1GHz}},
year={2017},
pages={154-155},
month={2},}
@INPROCEEDINGS{Jang2017,
author={T. Jang and S. Jeong and D. Jeon and K. D. Choo and D. Sylvester and D. Blaauw},
booktitle={IEEE International Solid-State Circuits Conference Digest of Technical Papers},
title={A 2.5ps {0.8-to-3.2GHz} bang-bang phase- and frequency-detector-based all-digital {PLL} with noise self-adjustment},
year={2017},
pages={148-149},
month={2},}
@INPROCEEDINGS{Sai2016,
author={A. Sai and S. Kondo and T. T. Ta and H. Okuni and M. Furuta and T. Itakura},
booktitle={IEEE International Solid-State Circuits Conference Digest of Technical Papers},
title={A 65nm {CMOS ADPLL} with {360uW} {1.6ps-INL} {SS-ADC-based} period-detection-free {TDC}},
year={2016},
pages={336-337},
month={1},}
@INPROCEEDINGS{Kim2016,
author={H. Kim and Y. Kim and T. Kim and H. Park and S. Cho},
booktitle={IEEE International Solid-State Circuits Conference Digest of Technical Papers},
title={A {2.4GHz} {1.5mW} digital {MDLL} using pulse-width comparator and double injection technique in 28nm {CMOS}},
year={2016},
pages={328-329},
month={1},}
@INPROCEEDINGS{Kundu2016,
author={S. Kundu and B. Kim and C. H. Kim},
booktitle={IEEE International Solid-State Circuits Conference Digest of Technical Papers},
title={A {0.2-to-1.45GHz} subsampling {fractional-N} all-digital {MDLL} with zero-offset aperture {PD-based} spur cancellation and in-situ timing mismatch detection},
year={2016},
pages={326-327},
month={1},}
@INPROCEEDINGS{Choi2016,
author={S. Choi and S. Yoo and J. Choi},
booktitle={IEEE International Solid-State Circuits Conference Digest of Technical Papers},
title={A 185fs\textsubscript{rms}-integrated-jitter and {-245dB FOM} {PVT-robust} {ring-VCO-based} injection-locked clock multiplier with a continuous frequency-tracking loop using a replica-delay cell and a dual-edge phase detector},
year={2016},
pages={194-195},
month={1},}
@INPROCEEDINGS{Elkholy2016,
author={A. Elkholy and A. Elmallah and M. Elzeftawi and K. Chang and P. K. Hanumolu},
booktitle={IEEE International Solid-State Circuits Conference Digest of Technical Papers},
title={A {6.75-to-8.25GHz}, 250fs\textsubscript{rms}-integrated-jitter {3.25mW} rapid on/off {PVT-insensitive} {fractional-N} injection-locked clock multiplier in 65nm {CMOS}},
year={2016},
pages={192-193},
month={1},}
@ARTICLE{Webel2015,
author={T. Webel and P. M. Lobo and R. Bertran and G. M. Salem and M. Allen-Ware and R. Rizzolo and S. M. Carey and T. Strach and A. Buyuktosunoglu and C. Lefurgy and P. Bose and R. Nigaglioni and T. Slegel and M. S. Floyd and B. W. Curran},
journal={IBM Journal of Research and Development},
title={Robust power management in the {IBM z13}},
year={2015},
volume={59},
number={4/5},
pages={16:1-16:12},
month={7},}
@misc{Denali,
title = {Denali Memory Interface {IP}},
url = {https://ip.cadence.com/ipportfolio/ip-portfolio-overview/memory-ip},
urldate = {2017-08-17},
organization={Cadence},
}
@misc{ZedBoard,
title = {ZedBoard},
url = {http://zedboard.org/product/zedboard},
urldate = {2017-08-10},
}
@INPROCEEDINGS{Tan2010,
author={Z. Tan and A. Waterman and R. Avizienis and Y. Lee and H. Cook and D. Patterson and K. Asanovic?},
booktitle={Proceedings of the Design Automation Conference},
title={{RAMP} gold: An {FPGA}-based architecture simulator for multiprocessors},
year={2010},
pages={463-468},
month={6},}
@techreport{Lee2015a,
Author = {Lee, Yunsup and Ou, Albert and Schmidt, Colin and Karandikar, Sagar and Mao, Howard and Asanovi{\'c}, Krste},
Title = {The {Hwacha} Microarchitecture Manual, Version 3.8.1},
Institution = {Department of Electrical Engineering and Computer Sciences, University of California, Berkeley},
Year = {2015},
Month = {12},
Number = {UCB/EECS-2015-263}
}
@INPROCEEDINGS{Keller2016,
author={B. Keller and M. Cochet and B. Zimmer and Y. Lee and M. Blagojevi{\'c} and J. Kwak and A. Puggelli and S. Bailey and P. F. Chiu and P. Dabbelt and C. Schmidt and E. Alon and K. Asanovi{\'c} and B. Nikoli{\'c}},
booktitle={Proceedings of the European Solid-State Circuits Conference},
title={Sub-microsecond adaptive voltage scaling in a 28nm {FD-SOI processor SoC}},
year={2016},
pages={269-272},
month={9},}
@misc{TileLink,
title = {TileLink 0.3.3 Specification},
url = {https://docs.google.com/document/d/1Iczcjigc-LUi8QmDPwnAu1kH4Rrt6Kqi1_EUaCrfrk8/pub},
urldate = {2017-07-14},
}
@techreport{Lee2015,
Author = {Lee, Yunsup and Schmidt, Colin and Ou, Albert and Waterman, Andrew and Asanovi{\'c}, Krste},
Title = {The {Hwacha} Vector-Fetch Architecture Manual, Version 3.8.1},
Institution = {Department of Electrical Engineering and Computer Sciences, University of California, Berkeley},
Year = {2015},
Month = {12},
Number = {UCB/EECS-2015-262}
}
@INPROCEEDINGS{Le2013,
author={H. P. Le and J. Crossley and S. R. Sanders and E. Alon},
booktitle={IEEE International Solid-State Circuits Conference Digest of Technical Papers},
title={A sub-ns response fully integrated battery-connected switched-capacitor voltage regulator delivering {0.19W/mm2} at 73\% efficiency},
year={2013},
pages={372-373},
month={2},}
@ARTICLE{Jacquet2014,
author={D. Jacquet and F. Hasbani and P. Flatresse and R. Wilson and F. Arnaud and G. Cesana and T. Di Gilio and C. Lecocq and T. Roy and A. Chhabra and C. Grover and O. Minez and J. Uginet and G. Durieu and C. Adobati and D. Casalotto and F. Nyer and P. Menut and A. Cathelin and I. Vongsavady and P. Magarshack},
journal={IEEE Journal of Solid-State Circuits},
title={A 3 {GHz} Dual Core Processor {ARM} {Cortex-A9} in 28 nm {UTBB FD-SOI CMOS} With Ultra-Wide Voltage Range and Energy Efficiency Optimization},
year={2014},
volume={49},
number={4},
pages={812-826},
month={4},}
@article{Russel1978,
author = {Russell, Richard M.},
title = {The {CRAY-1} Computer System},
journal = {Communications of the ACM},
volume = {21},
number = {1},
month = 1,
year = {1978},
pages = {63--72},
}
@inproceedings{Stanley-Marbell2002,
author = {Stanley-Marbell, Phillip and Hsiao, Michael S. and Kremer, Ulrich},
title = {A Hardware Architecture for Dynamic Performance and Energy Adaptation},
booktitle = {Proceedings of the International Conference on Power-aware Computer Systems},
year = {2003},
pages = {33--52},
month=2,
}
@inproceedings{Guang2009,
author = {Guang, Liang and Nigussie, Ethiopia and Koskinen, Lauri and Tenhunen, Hannu},
title = {Autonomous {DVFS} on Supply Islands for Energy-Constrained {NoC} Communication},
booktitle = {Proceedings of the International Conference on Architecture of Computing Systems},
year = {2009},
month=3,
pages = {183--194},
}
@INPROCEEDINGS{Rajamani2006,
author={K. Rajamani and H. Hanson and J. Rubio and S. Ghiasi and F. Rawson},
booktitle={Proceedings of the IEEE International Symposium on Workload Characterization},
title={Application-Aware Power Management},
year={2006},
pages={39-48},
month={10},}
@INPROCEEDINGS{Dhiman2007,
author={G. Dhiman and T. S. Rosing},
booktitle={Proceedings of the ACM/IEEE International Symposium on Low Power Electronics and Design},
title={Dynamic voltage frequency scaling for multi-tasking systems using online learning},
year={2007},
pages={207-212},
month={8},}
@ARTICLE{Talpes2005,
author={E. Talpes and D. Marculescu},
journal={IEEE Transactions on Very Large Scale Integration (VLSI) Systems},
title={Toward a multiple clock/voltage island design style for power-aware processors},
year={2005},
volume={13},
number={5},
pages={591-603},
month={5},}
@inproceedings{Keramidas2010,
author = {Keramidas, Georgios and Spiliopoulos, Vasileios and Kaxiras, Stefanos},
title = {Interval-based Models for Run-time {DVFS} Orchestration in Superscalar Processors},
booktitle = {Proceedings of the ACM International Conference on Computing Frontiers},
year = {2010},
pages = {287--296},
month=5,
}
@ARTICLE{Efraim2014,
author={R. Efraim and R. Ginosar and C. Weiser and A. Mendelson},
journal={IEEE Computer Architecture Letters},
title={Energy Aware Race to Halt: A Down to {EARtH} Approach for Platform Energy Management},
year={2014},
volume={13},
number={1},
pages={25-28},
month={1},}
@inproceedings{Dhiman2008,
author = {Dhiman, Gaurav and Pusukuri, Kishore Kumar and Rosing, Tajana},
title = {Analysis of Dynamic Voltage Scaling for System Level Energy Management},
booktitle = {Proceedings of the Workshop on Power Aware Computing and Systems},
year = {2008},
month=12,
}
@MISC{Snowdon2005,
author = {David Snowdon and Sergio Ruocco and Gernot Heiser},
title = {Power Management and Dynamic Voltage Scaling: Myths and Facts},
year = {2005}
}
@inproceedings{Yuki2014,
author="Yuki, Tomofumi and Rajopadhye, Sanjay",
title="Folklore Confirmed: Compiling for Speed = Compiling for Energy",
bookTitle="Proceedings of the International Workshop on Languages and Compilers for Parallel Computing",
year="2014",
month=9,
pages={169--184},
}
@INPROCEEDINGS{Wu2005a,
author={Qiang Wu and P. Juang and M. Martonosi and D. W. Clark},
booktitle={Proceedings of the IEEE Symposium on High-Performance Computer Architecture},
title={Voltage and frequency control with adaptive reaction time in multiple-clock-domain processors},
year={2005},
pages={178--189},
month={2},}
@INPROCEEDINGS{Sharkey2007,
author={J. Sharkey and A. Buyuktosunoglu and P. Bose},
booktitle={Proceedings of the ACM/IEEE International Symposium on Low Power Electronics and Design},
title={Evaluating design tradeoffs in on-chip power management for {CMPs}},
year={2007},
pages={44-49},
month={8},}
@INPROCEEDINGS{Wu2005,
author={Qiang Wu and V. J. Reddi and Youfeng Wu and Jin Lee and D. Connors and D. Brooks and M. Martonosi and D. W. Clark},
booktitle={Proceedings of the IEEE/ACM International Symposium on Microarchitecture},
title={A dynamic compilation framework for controlling microprocessor energy and performance},
year={2005},
pages = {271--282},
month={11},}
@inproceedings{Hsu2003,
author = {Hsu, Chung-Hsing and Kremer, Ulrich},
title = {The Design, Implementation, and Evaluation of a Compiler Algorithm for {CPU} Energy Reduction},
booktitle = {Proceedings of the ACM Conference on Programming Language Design and Implementation},
year = {2003},
month=6,
pages = {38--48},
}
@inproceedings{Pouwelse2001,
author = {Pouwelse, Johan and Langendoen, Koen and Sips, Henk},
title = {Dynamic Voltage Scaling on a Low-power Microprocessor},
booktitle = {Proceedings of the International Conference on Mobile Computing and Networking},
year = {2001},
month=7,
pages = {251--259},
}
@misc{Horner2017,
title={{RISC V - low power instructions}},
url={https://groups.google.com/a/groups.riscv.org/d/msg/hw-dev/fmn3ux_XLs0/kkPkJnStAwAJ},
howpublished={RISC-V Hardware Development Mailing List},
author={Horner, David},
year={2017},
month={2},
day={28},
}
@mastersthesis{Bird2010,
author = "Sarah Bird",
title = "Software Knows Best: A Case for Hardware Transparency and Measurability",
school = "Department of Electrical Engineering and Computer Sciences, University of California, Berkeley",
year = "2010",
month = {5},
}
@INPROCEEDINGS{Bhagavatula2013,
author={S. Bhagavatula and B. Jung},
booktitle={Proceedings of the IEEE Custom Integrated Circuits Conference},
title={A power sensor with 80ns response time for power management in microprocessors},
year={2013},
%pages={1-4},
month={9},}
@INPROCEEDINGS{Jiang2007,
author={X. Jiang and P. Dutta and D. Culler and I. Stoica},
booktitle={Proceedings of the ACM/IEEE International Conference on Information Processing in Sensor Networks},
title={Micro Power Meter for Energy Monitoring of Wireless Sensor Networks at Scale},
year={2007},
pages={186-195},
month={4},}
@INPROCEEDINGS{Cochet2016,
author={M. Cochet and A. Puggelli and B. Keller and B. Zimmer and M. Blagojevi{\'c} and S. Clerc and P. Roche and J. L. Autran and B. Nikoli?},
booktitle={Proceedings of the IEEE Asian Solid-State Circuits Conference},
title={On-chip supply power measurement and waveform reconstruction in a 28nm {FD-SOI} processor {SoC}},
year={2016},
pages={125-128},
month={11},}
@INPROCEEDINGS{Dutta2008,
author={P. Dutta and M. Feldmeier and J. Paradiso and D. Culler},
booktitle={Proceedings of the ACM/IEEE International Conference on Information Processing in Sensor Networks},
title={Energy Metering for Free: Augmenting Switching Regulators for Real-Time Monitoring},
year={2008},
pages={283-294},
month={4},}
@ARTICLE{Keller2017,
author={B. Keller and M. Cochet and B. Zimmer and J. Kwak and A. Puggelli and Y. Lee and M. Blagojevi{\'c} and S. Bailey and P. F. Chiu and P. Dabbelt and C. Schmidt and E. Alon and K. Asanovi{\'c} and B. Nikoli{\'c}},
journal={IEEE Journal of Solid-State Circuits},
title={A {RISC-V} Processor {SoC} With Integrated Power Management at Submicrosecond Timescales in 28 nm {FD-SOI}},
year={2017},
volume={52},
number={7},
pages={1863-1875},
month={7},}
@article{Wong2006,
author = {Wong, K.L. and Rahal-arabi, T. and Ma, M. and Taylor, G.},
journal = {{IEEE} Journal of Solid-State Circuits},
keywords = {EE241},
month = {4},
number = {4},
pages = {749--758},
title = {Enhancing Microprocessor Immunity to Power Supply Noise With Clock-Data Compensation},
volume = {41},
year = {2006}
}
@INPROCEEDINGS{Pique2012,
author={G. V. Piqu{\'e}},
booktitle={IEEE International Solid-State Circuits Conference Digest of Technical Papers},
title={A 41-phase switched-capacitor power converter with {3.8mV} output ripple and 81\% efficiency in baseline 90nm {CMOS}},
year={2012},
pages={98-100},
month={2},}
@INPROCEEDINGS{Andersen2014,
author={T. M. Andersen and F. Krismer and J. W. Kolar and T. Toifl and C. Menolfi and L. Kull and T. Morf and M. Kossel and M. Br�ndli and P. Buchmann and P. A. Francese},
booktitle={IEEE International Solid-State Circuits Conference Digest of Technical Papers},
title={A sub-ns response on-chip switched-capacitor {DC-DC} voltage regulator delivering {3.7W/mm2} at 90\% efficiency using deep-trench capacitors in 32nm {SOI} {CMOS}},
year={2014},
pages={90-91},
month={2},}
@INPROCEEDINGS{Jain2014,
author={R. Jain and S. Kim and V. Vaidya and J. Tschanz and K. Ravichandran and V. De},
booktitle={Proceedings of the IEEE Custom Integrated Circuits Conference},
title={Conductance modulation techniques in switched-capacitor {DC-DC} converter for maximum-efficiency tracking and ripple mitigation in 22nm Tri-gate {CMOS}},
year={2014},
%pages={1-4},
month={9},}
@INPROCEEDINGS{Jiang2017,
author={J. Jiang and Y. Lu and W. H. Ki and S. P. U and R. P. Martins},
booktitle={IEEE International Solid-State Circuits Conference Digest of Technical Papers},
title={A dual-symmetrical-output switched-capacitor converter with dynamic power cells and minimized cross regulation for application processors in 28nm {CMOS}},
year={2017},
pages={344-345},
month={2},}
@INPROCEEDINGS{Teh2016,
author={C. K. Teh and A. Suzuki},
booktitle={IEEE International Solid-State Circuits Conference Digest of Technical Papers},
title={A 2-output step-up/step-down switched-capacitor {DC-DC} converter with 95.8\% peak efficiency and {0.85-to-3.6V} input voltage range},
year={2016},
pages={222-223},
month={1},}
@INPROCEEDINGS{Song2015,
author={M. K. Song and L. Chen and J. Sankman and S. Terry and D. Ma},
booktitle={IEEE International Solid-State Circuits Conference Digest of Technical Papers},
title={A {20V 8.4W 20MHz} four-phase {GaN DC-DC} converter with fully on-chip {dual-SR} bootstrapped {GaN FET} driver achieving 4ns constant propagation delay and 1ns switching rise time},
year={2015},
pages={302--304},
month={2},}
@INPROCEEDINGS{Floyd2017,
author={M. S. Floyd and P. J. Restle and M. A. Sperling and P. Owczarczyk and E. J. Fluhr and J. Friedrich and P. Muench and T. Diemoz and P. Chuang and C. Vezyrtzis},
booktitle={IEEE International Solid-State Circuits Conference Digest of Technical Papers},
title={Adaptive clocking in the {POWER9} processor for voltage droop protection},
year={2017},
pages={444-445},
month={2},}
@INPROCEEDINGS{Wilcox2015,
author={K. Wilcox and D. Akeson and H. R. Fair and J. Farrell and D. Johnson and G. Krishnan and H. Mclntyre and E. McLellan and S. Naffziger and R. Schreiber and S. Sundaram and J. White},
booktitle={IEEE International Solid-State Circuits Conference Digest of Technical Papers},
title={A 28nm x86 {APU} optimized for power and area efficiency},
year={2015},
pages={84--86},
month={2},}
@INPROCEEDINGS{Bowman2015,
author={K. Bowman and S. Raina and T. Bridges and D. Yingling and H. Nguyen and B. Appel and Y. Kolla and J. Jeong and F. Atallah and D. Hansquine},
booktitle={IEEE International Solid-State Circuits Conference Digest of Technical Papers},
title={A 16nm auto-calibrating dynamically adaptive clock distribution for maximizing supply-voltage-droop tolerance across a wide operating range},
year={2015},
pages={152--154},
month={2},}
@misc{Felix2014,
title={Digital frequency locked loop},
author={Felix, S.},
year={2014},
month=5,
note={US Patent 8,723,571}
}
@INPROCEEDINGS{Kamakshi2016,
author={D. A. Kamakshi and M. Fojtik and B. Khailany and S. Kudva and Y. Zhou and B. H. Calhoun},
booktitle={Proceedings of the IEEE International Symposium on Asynchronous Circuits and Systems},
title={Modeling and Analysis of Power Supply Noise Tolerance with Fine-Grained {GALS} Adaptive Clocks},
year={2016},
pages={75-82},
month={5},}
@inproceedings{Pinckney2013,
author = {Pinckney, Nathaniel and Fojtik, Matthew and Giridhar, Bharan and Sylvester, Dennis and Blaauw, David},
booktitle = {Proceedings of the Symposium on VLSI Circuits},
pages = {C290--C291},
title = {Shortstop: An On-Chip Fast Supply Boosting Technique},
year = {2013},
month=6
}
@INPROCEEDINGS{Pinckney2016,
author={N. Pinckney and D. Sylvester and D. Blaauw},
booktitle={Proceedings of the European Solid-State Circuits Conference},
title={Supply boosting for high-performance processors in flip-chip packages},
year={2016},
pages={473-476},
month={9},}
@phdthesis{Seeman2009,
Author = {Seeman, Michael Douglas},
Title = {A Design Methodology for Switched-Capacitor {DC-DC} Converters},
School = {Department of Electrical Engineering and Computer Sciences, University of California, Berkeley},
Year = {2009},
Month = {5},
}
@ARTICLE{Seeman2008,
author={M. D. Seeman and S. R. Sanders},
journal={IEEE Transactions on Power Electronics},
title={Analysis and Optimization of Switched-Capacitor DC-DC Converters},
year={2008},
volume={23},
number={2},
pages={841-851},
month=3,}
@ARTICLE{Lee2007,
author={J. Lee and G. Hatcher and L. Vandenberghe and C. K. K. Yang},
journal={IEEE Transactions on Very Large Scale Integration (VLSI) Systems},
title={Evaluation of Fully-Integrated Switching Regulators for {CMOS} Process Technologies},
year={2007},
volume={15},
number={9},
pages={1017-1027},
month={9},}
@INPROCEEDINGS{Gardner2006,
author={D. S. Gardner and G. Schrom and P. Hazucha and F. Paillet and T. Karnik and S. Borkar and J. Saulters and J. Owens and J. Wetzel},
booktitle={Proceedings of the International Electron Devices Meeting},
title={Integrated On-Chip Inductors with Magnetic Films},
year={2006},
%pages={1-4},
month={12},}
@INPROCEEDINGS{Singh2017,
author={T. Singh and S. Rangarajan and D. John and C. Henrion and S. Southard and H. McIntyre and A. Novak and S. Kosonocky and R. Jotwani and A. Schaefer and E. Chang and J. Bell and M. Co},
booktitle={IEEE International Solid-State Circuits Conference Digest of Technical Papers},
title={Zen: A next-generation high-performance x86 core},
year={2017},
pages={52-53},
month={2},}
@INPROCEEDINGS{Gonzalez2017,
author={C. Gonzalez and E. Fluhr and D. Dreps and D. Hogenmiller and R. Rao and J. Paredes and M. Floyd and M. Sperling and R. Kruse and V. Ramadurai and R. Nett and S. Islam and J. Pille and D. Plass},
booktitle={IEEE International Solid-State Circuits Conference Digest of Technical Papers},
title={POWER9: A processor family optimized for cognitive computing with {25Gb/s} accelerator links and {16Gb/s} {PCIe} {Gen4}},
year={2017},
pages={50-51},
month={2},}
@INPROCEEDINGS{Grenat2016,
author={A. Grenat and S. Sundaram and S. Kosonocky and R. Rachala and S. Sambamurthy and S. Liepe and M. Rodriguez and T. Burd and A. Clark and M. Austin and S. Naffziger},
booktitle={IEEE International Solid-State Circuits Conference Digest of Technical Papers},
title={Increasing the performance of a 28nm x86-64 microprocessor through system power management},
year={2016},
pages={74-75},
month={1},}
@online{Volta2017,
author = {Ryan Smith},
title = {{NVIDIA} {Volta} Unveiled: {GV100 GPU} and {Tesla V100} Accelerator Announced},
year={2017},
month={5},
day={10},
url = {http://www.anandtech.com/show/11367/nvidia-volta-unveiled-gv100-gpu-and-tesla-v100-accelerator-announced},
urldate = {2017-06-12},
organization={AnandTech}
}
@online{Sperling2014,
author = {Ed Sperling},
title = {How Much Will That Chip Cost?},
year={2014},
month={3},
day={27},
url = {http://semiengineering.com/how-much-will-that-chip-cost/},
urldate = {2017-06-12},
organization={Semiconductor Engineering}
}
@inproceedings{Dally2013,
title={21st century digital design tools},
author={Dally, William J and Malachowsky, Chris and Keckler, Stephen W},
booktitle={Proceedings of the Design Automation Conference},
% pages={94},
year={2013},
month=6,
}
@inproceedings{Tega2009,
title={Increasing threshold voltage variation due to random telegraph noise in {FETs} as gate lengths scale to 20 nm},
author={Tega, N and Miki, H and Pagette, F and Frank, DJ and Ray, A and Rooks, MJ and Haensch, W and Torii, K},
booktitle={Proceedings of the Symposium on VLSI Technology},
pages={50--51},
year={2009},
month=4,
}
@article{Sapatnekar2011,
title={Overcoming variations in nanometer-scale technologies},
author={Sapatnekar, Sachin S},
journal={IEEE Journal on Emerging and Selected Topics in Circuits and Systems},
volume={1},
number={1},
pages={5--18},
year={2011},
month=5,
}
@INPROCEEDINGS{Hou2017,
author={C. Hou},
booktitle={IEEE International Solid-State Circuits Conference Digest of Technical Papers},
title={A smart design paradigm for smart chips},
year={2017},
pages={8-13},
month={2},}
@book{Alioto2017,
title={Enabling the Internet of Things: From Integrated Circuits to Integrated Systems},
editor={Alioto, Massimo},
year={2017},
publisher={Springer International Publishing},
address={Cham, Switzerland}
}
@misc{Battery2017,
title = {Apple {iPhone} product line comparison},
year={2017},
month={4},
day={26},
url = {http://socialcompare.com/en/comparison/apple-iphone-product-line-comparison/},
urldate = {2017-06-09},
organization={SocialCompare}
}
@online{Pilon2016,
author = {Anne Pilon},
title = {Smartphone Battery Survey: Battery Life Considered Important},
year={2016},
month={1.},
day={13},
url = {https://aytm.com/blog/daily-survey-results/smartphone-battery-survey/},
urldate = {2017-06-09},
organization={AYTM}
}
@ARTICLE{Grot2012,
author={B. Grot and D. Hardy and P. Lotfi-Kamran and B. Falsafi and C. Nicopoulos and Y. Sazeides},
journal={IEEE Micro},
title={Optimizing Data-Center {TCO} with Scale-Out Processors},
year={2012},
volume={32},
number={5},
pages={52-63},
month={9},}
@misc{Koomey2007,
title={A simple model for determining true total cost of ownership for data centers},
howpublished = "White Paper",
organization = "Uptime Institute",
month = {10},
year = "2007",
author={Koomey, Jonathan}
}
@inproceedings{Karidis2009,
author = {Karidis, John and Moreira, Jose E. and Moreno, Jaime},
title = {True Value: Assessing and Optimizing the Cost of Computing at the Data Center Level},
booktitle = {Proceedings of the ACM International Conference on Computing Frontiers},
year = {2009},
month=5,
pages = {185--192},
}
@INPROCEEDINGS{Gelsinger2001,
author={P. P. Gelsinger},
booktitle={IEEE International Solid-State Circuits Conference Digest of Technical Papers},
title={Microprocessors for the new millennium: Challenges, opportunities, and new frontiers},
year={2001},
pages={22-25},
month={2},}
@online{Sverdlik2016,
author = {Sverdlik, Yevgeniy},
title = {Here's How Much Energy All US Data Centers Consume},
year={2016},
month={6},
day={27},
url = {http://www.datacenterknowledge.com/archives/2016/06/27/heres-how-much-energy-all-us-data-centers-consume/},
urldate = {2017-06-09},
organization={Data Center Knowledge}
}
@article {Laitner2010,
author = {John A. Laitner and Knight, Chris Poland and McKinney, Vanessa L. and Ehrhardt-Martinez, Karen},
title = {Semiconductor technologies: The potential to revolutionize {U.S.} energy productivity {(Part III)}},
journal = {Environmental Quality Management},
volume = {19},
number = {4},
publisher = {Wiley},
pages = {29--50},
year = {2010},
}
@inproceedings{Cummings2002,
title={Simulation and synthesis techniques for asynchronous {FIFO} design},
author={Cummings, Clifford E},
booktitle={Synopsys Users Group Conference User Papers},
year={2002}
}
@inproceedings{Chaney1979,
title={Characterization and scaling of {MOS} flip flop performance in synchronizer applications},
booktitle={Proceedings of the Caltech Conference On Very Large Scale Integration},
author={Chaney, Thomas J and Rosenberger, Fred U},
year={1979},
month=1,
publisher={California Institute of Technology}
}
@ARTICLE{Lutkemeier2013,
author={S. Lutkemeier and T. Jungeblut and H. K. O. Berge and S. Aunet and M. Porrmann and U. Ruckert},
journal={{IEEE} Journal of Solid-State Circuits},
title={A 65 nm 32b Subthreshold Processor With {9T} Multi-{Vt} {SRAM} and Adaptive Supply Voltage Control},
year={2013},
volume={48},
number={1},
pages={8-19},
month={1.},}
@standard{IEEEFloatingPoint,
title = "{IEEE} Standard for Floating-Point Arithmetic",
organization = "IEEE",
number = "754",
year = "2008"
}
@INPROCEEDINGS{raven1,
author={B. Zimmer and O. Thomas and S. O. Toh and T. Vincent and K. Asanovi{\'c} and B. Nikoli{\'c}},
booktitle={Proceedings of the {IEEE} European Solid-State Device Research Conference},
title={Joint impact of random variations and {RTN} on dynamic writeability in 28nm bulk and {FDSOI} {SRAM}},
year={2014},
pages={98-101},
month={9},}
@INPROCEEDINGS{planes,
author={Planes, Nicolas and Weber, O. and Barral, V. and Haendler, S. and Noblet, D. and Croain, D. and Bocat, M. and Sassoulas, P. and Federspiel, X. and Cros, A. and Bajolet, A. and Richard, E. and Dumont, B. and Perreau, P. and Petit, D. and Golanski, D. and Fenouillet-Beranger, C. and Guillot, N. and Rafik, M. and Huard, V. and Puget, S. and Montagner, X. and Jaud, M. -A and Rozeau, O. and Saxod, O. and Wacquant, F. and Monsieur, F. and Barge, D. and Pinzelli, L. and Mellier, M. and Boeuf, F. and Arnaud, F. and Haond, M.},
title={{28nm FDSOI technology platform for high-speed low-voltage digital applications}},
booktitle = {Proceedings of the {IEEE} Symposium on VLSI Technology},
pages={133-134},
year={2012},
month={6},
}
@inproceedings{Godycki2014,
author = {Godycki, Waclaw and Torng, Christopher and Bukreyev, Ivan and Apsel, Alyssa and Batten, Christopher},
booktitle = {Proceedings of the IEEE/ACM International Symposium on Microarchitecture},
pages = {381--393},
title = {Enabling Realistic Fine-Grain Voltage Scaling with Reconfigurable Power Distribution Networks},
year = {2014},
month = {12}
}
@phdthesis{YunsupThesis,
Author = {Lee, Yunsup},
Title = {Decoupled Vector-Fetch Architecture with a Scalarizing Compiler},
School = {Department of Electrical Engineering and Computer Sciences, University of California, Berkeley},
Year = {2016},
Month = {5},
}
@inproceedings{Shye2009,
author = {Shye, Alex and Scholbrock, Benjamin and Memik, Gokhan},
title = {Into the Wild: studying Real User Activity Patterns to Guide Power Optimizations for Mobile Architectures},
booktitle = {Proceedings of the {IEEE/ACM} International Symposium on Microarchitecture},
year = {2009},
pages = {168--178},
numpages = {11},
month={12},
}
@ARTICLE{Bol2013,
author={D. Bol and J. De Vos and C. Hocquet and F. Botman and F. Durvaux and S. Boyd and D. Flandre and J. D. Legat},
journal={{IEEE} Journal of Solid-State Circuits},
% title={{SleepWalker}: A 25-{MHz} {0.4-V} Sub-mm$^{2}$ 7-$\mu${W/MHz} Microcontroller in 65-nm {LP/GP CMOS} for Low-Carbon Wireless Sensor Nodes},
title={{SleepWalker}: A 25-{MHz} {0.4-V} Sub-mm$^2$ 7-{uW/MHz} Microcontroller in 65-nm {LP/GP CMOS} for Low-Carbon Wireless Sensor Nodes},
year={2013},
volume={48},
number={1},
pages={20-32},
month={1},
}
@ARTICLE{Kwak2016,
author={J. Kwak and B. Nikoli{\'c}},
journal={IEEE Journal of Solid-State Circuits},
title={A Self-Adjustable Clock Generator With Wide Dynamic Range in 28 nm {FDSOI}},
year={2016},
volume={51},
number={10},
pages={2368-2379},
month={10},}
@article{Gutnik1997,
author = {Gutnik, Vadim and Chandrakasan, Anantha P.},
journal = {{IEEE} Transactions on Very Large Scale Integration (VLSI) Systems},
number = {4},
pages = {425--435},
title = {{Embedded power supply for low-power DSP}},
volume = {5},
month = {12},
year = {1997}
}
@inproceedings{Lee2000,
title={Run-time voltage hopping for low-power real-time systems},
author={Lee, Seongsoo and Sakurai, Takayasu},
booktitle={Proceedings of the Design Automation Conference},
pages={806--809},
year={2000},
month={6},
}
@inproceedings{Chisel,
title={Chisel: {C}onstructing hardware in a {Scala} embedded language},
author={Bachrach, Jonathan and Vo, Huy and Richards, Brian and Lee, Yunsup and Waterman, Andrew and Avi{\v{z}}ienis, Rimas and Wawrzynek, John and Asanovi{\'c}, Krste},
booktitle={Proceedings of the Design Automation Conference},
pages={1216--1225},
year={2012},
}
@article{Jiao2010,
author = {Jiao, Dong and Gu, Jie and Kim, Chris H.},
journal = {{IEEE} Journal of Solid-State Circuits},
month = {10},
number = {10},
pages = {2130--2141},
title = {Circuit Design and Modeling Techniques for Enhancing the Clock-Data Compensation Effect Under Resonant Supply Noise},
volume = {45},
year = {2010}
}
@article{Snowdon2007,
author = {Snowdon, David C and Petters, Stefan M and Heiser, Gernot},
journal = {Proceedings of the {IEEE} International Conference on Embedded Software},
pages = {84--93},
title = {Accurate On-line Prediction of Processor and Memory Energy Usage Under Voltage Scaling},
year = {2007},
month = {9}
}
@inproceedings{Turnquist2015,
booktitle = {Proceedings of the Symposium on VLSI Circuits},
author={Turnquist, M. and Hiienkari, M. and Makipaa, J. and Jevtic, R. and Pohjalainen, E. and Kallio, T. and Koskinen, L.},
title={Fully integrated {DC-DC} converter and a {0.4V} 32-bit {CPU} with timing-error prevention supplied from a prototype {1.55V Li-ion battery}},
year={2015},
pages={320-321},
month={6},}
@INPROCEEDINGS{Clerc2015,
author={Clerc, S. and Saligane, M. and Abouzeid, F. and Cochet, M. and Daveau, J.-M. and Bottoni, C. and Bol, D. and De-Vos, J. and Zamora, D. and Coeffic, B. and Soussan, D. and Croain, D. and Naceur, M. and Schamberger, P. and Roche, P. and Sylvester, D.},
booktitle={IEEE International Solid-State Circuits Conference Digest of Technical Papers},
title={{A 0.33V/-40C process/temperature closed-loop compensation SoC embedding all-digital clock multiplier and DC-DC converter exploiting FDSOI 28nm back-gate biasing}},
year={2015},
month={2},
pages={150--151},
}
@INPROCEEDINGS{Kim2015,
author={Kim, S.T. and Yi-Chun Shih and Mazumdar, K. and Jain, R. and Ryan, J.F. and Tokunaga, C. and Augustine, C. and Kulkarni, J.P. and Ravichandran, K. and Tschanz, J.W. and Khellah, M.M. and De, V.},
booktitle={{IEEE} International Solid-State Circuits Conference Digest of Technical Papers},
title={{Enabling wide autonomous DVFS in a 22nm graphics execution core using a digitally controlled hybrid LDO/switched-capacitor VR with fast droop mitigation}},
year={2015},
month={2},
pages={154--155},
}
@INPROCEEDINGS{Krishnamurthy2014,
author={Krishnamurthy, H.K. and Vaidya, V.A. and Kumar, P. and Matthew, G.E. and Weng, S. and Thiruvengadam, B. and Proefrock, W. and Ravichandran, K. and De, V.},
booktitle={Proceedings of the Symposium on VLSI Circuits},
title={A 500 {MHz}, 68\% efficient, fully on-die digitally controlled buck Voltage Regulator on 22nm Tri-Gate {CMOS}},
year={2014},
pages={167--168},
month={6},}
@article{Gupta2013,
author = {Gupta, Vishal and Singh, Montek},
isbn = {9781479906222},
journal = {Proc. International Green Computing Conference},
pages = {1--8},
title = {{Energy conservation in asynchronous systems using self-adaptive fine-grain voltage scaling}},
year = {2013},
month = {6}
}
@inproceedings{Marculescu2000,
author = {Marculescu, Diana},
booktitle = {Proceedings of the Workshop on Complexity-Effective Design},
keywords = {DVFS},
mendeley-tags = {DVFS},
title = {{On the use of microarchitecture-driven dynamic voltage scaling}},
year = {2000},
month = {6}
}
@inproceedings{Juang2005,
author = {Juang, Philo and {Qiang Wu} and {Li-Shiuan Peh} and Martonosi, Margaret and Clark, D.W.},
booktitle = {Proceedings of the ACM/IEEE International Symposium on Low Power Electronics and Design},
keywords = {DVFS,dynamic voltage scaling,power},
mendeley-tags = {DVFS},
pages = {127--130},
title = {{Coordinated, distributed, formal energy management of chip multiprocessors}},
year = {2005},
month = {8}
}
@article{Beigne2009,
author = {Beigne, Edith and Clermidy, Fabien and Lhermet, Hne and Miermont, Sylvain and Thonnart, Yvain and Tran, Xuan Tu and Valentian, Alexandre and Varreau, Didier and Vivet, Pascal and Popon, Xavier and Lebreton, Hugo},
journal = {{IEEE} Journal of Solid-State Circuits},
keywords = {DVFS,Distributed DSP,Dynamic power,GALS,Leakage power,Network-on-chip,Super cut-off{\&}DC-DC converters,Vdd-hopping},
mendeley-tags = {DVFS,Distributed DSP},
number = {4},
pages = {1167--1177},
title = {{An asynchronous power aware and adaptive NoC based circuit}},
volume = {44},
year = {2009},
month = {3}
}
@article{Kim2008,
author = {Kim, Wonyoung and Gupta, MS},
isbn = {9781424420704},
journal = {Proceedings of the {IEEE} International Symposium on High Performance Computer Architecture},
pages = {123--134},
title = {{System level analysis of fast, per-core DVFS using on-chip switching regulators}},
year = {2008},
month = {2}
}
@inproceedings{Blagojevic2016,
title={A fast, flexible, positive and negative adaptive body-bias generator in 28nm {FDSOI}},