From f8ff95eeddf0fbbc471c912dfb65e6f13cfefb65 Mon Sep 17 00:00:00 2001 From: Sophana KOK Date: Tue, 24 Oct 2023 09:09:26 +0200 Subject: [PATCH] more vivado xsim support --- src/axi_burst_splitter.sv | 2 ++ src/axi_lite_demux.sv | 2 ++ 2 files changed, 4 insertions(+) diff --git a/src/axi_burst_splitter.sv b/src/axi_burst_splitter.sv index a46ce2c5e..3ff13ed26 100644 --- a/src/axi_burst_splitter.sv +++ b/src/axi_burst_splitter.sv @@ -317,7 +317,9 @@ module axi_burst_splitter #( // -------------------------------------------------- `ifndef VERILATOR // pragma translate_off + `ifndef XILINX_SIMULATOR default disable iff (!rst_ni); + `endif // Inputs assume property (@(posedge clk_i) slv_req_i.aw_valid |-> txn_supported(slv_req_i.aw.atop, slv_req_i.aw.burst, slv_req_i.aw.cache, slv_req_i.aw.len) diff --git a/src/axi_lite_demux.sv b/src/axi_lite_demux.sv index a4e8fdd20..510b7d0f0 100644 --- a/src/axi_lite_demux.sv +++ b/src/axi_lite_demux.sv @@ -435,7 +435,9 @@ module axi_lite_demux #( // pragma translate_off `ifndef VERILATOR + `ifndef XILINX_SIMULATOR default disable iff (!rst_ni); + `endif aw_select: assume property( @(posedge clk_i) (slv_req_i.aw_valid |-> (slv_aw_select_i < NoMstPorts))) else $fatal(1, "slv_aw_select_i is %d: AW has selected a slave that is not defined.\