-
Notifications
You must be signed in to change notification settings - Fork 0
/
ExampleSynthesisToBlif.txt
executable file
·64 lines (47 loc) · 2.67 KB
/
ExampleSynthesisToBlif.txt
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
30
31
32
33
34
35
36
37
38
39
40
41
42
43
44
45
46
47
48
49
50
51
52
53
54
55
56
57
58
59
60
61
62
63
64
Verilog -> Blif with Yosys EXAMPLE
Download compiled Yosys version or compile yourself.
Download git directory of ABC, copy content into Yosys top folder.
Start yosys command window (yosys.exe).
Execute following commands (edit paths, topmodule)
read -sv "pathtoverilogfile"/test.v
hierarchy -top "nameoftopmodule"
proc; opt; techmap; opt
write_blif synth.blif
show -format ps -viewer gv
Using a modified cell library for ASIC mapping
# read design
read_verilog mydesign.v
# elaborate design hierarchy
hierarchy -check -top mytop
# the high-level stuff
proc; opt; fsm; opt; memory; opt
# mapping to internal cell library
techmap; opt
# mapping flip-flops to mycells.lib
dfflibmap -liberty mycells.lib
# mapping logic to mycells.lib
abc -liberty mycells.lib
# cleanup
clean
# write synthesized design
write_verilog synth.v
ERRORS:
Warning: Found unsupported expression 'CE*IQ+CE*D' in pin attribute of cell 'CC_DFFRE' - skipping.
Warning: Malformed liberty file - cannot find pin 'CE'IQ+CED' in cell 'CC__DFFSE' - skipping.
Warning: Found unsupported expression 'CE*IQ+CE*D' in pin attribute of cell 'CC_DFFRE' - skipping.
Warning: Malformed liberty file - cannot find pin 'CE'IQ+CED' in cell 'CC__DFFSE' - skipping.
Warning: Found unsupported expression 'CE*IQ+CE*D' in pin attribute of cell 'CC_DFFRE' - skipping.
Warning: Malformed liberty file - cannot find pin 'CE'IQ+CED' in cell 'CC__DFFSE' - skipping.
Warning: Found unsupported expression 'CE*IQ+CE*D' in pin attribute of cell 'CC_DFFRE' - skipping.
Warning: Malformed liberty file - cannot find pin 'CE'IQ+CED' in cell 'CC__DFFSE' - skipping.
Warning: Found unsupported expression 'CE*IQ+CE*D' in pin attribute of cell 'CC_DFFRE' - skipping.
Warning: Malformed liberty file - cannot find pin 'CE'IQ+CED' in cell 'CC__DFFSE' - skipping.
Warning: Found unsupported expression 'CE*IQ+CE*D' in pin attribute of cell 'CC_DFFRE' - skipping.
Warning: Malformed liberty file - cannot find pin 'CE'IQ+CED' in cell 'CC__DFFSE' - skipping.
cell CC_DFF (noninv, pins=5, area=0.50) is a direct match for cell type $_DFFSR_PNN_.
Warning: Found unsupported expression 'CE*IQ+CE*D' in pin attribute of cell 'CC_DFFRE' - skipping.
Warning: Malformed liberty file - cannot find pin 'CE'IQ+CED' in cell 'CC__DFFSE' - skipping.
Warning: Found unsupported expression 'CE*IQ+CE*D' in pin attribute of cell 'CC_DFFRE' - skipping.
Warning: Malformed liberty file - cannot find pin 'CE'IQ+CED' in cell 'CC__DFFSE' - skipping.
Warning: Found unsupported expression 'CE*IQ+CE*D' in pin attribute of cell 'CC_DFFRE' - skipping.
Warning: Malformed liberty file - cannot find pin 'CE'IQ+CED' in cell 'CC__DFFSE' - skipping.