Skip to content

Commit

Permalink
more vivado xsim support
Browse files Browse the repository at this point in the history
  • Loading branch information
skokvermon committed Oct 24, 2023
1 parent bc83586 commit f8ff95e
Show file tree
Hide file tree
Showing 2 changed files with 4 additions and 0 deletions.
2 changes: 2 additions & 0 deletions src/axi_burst_splitter.sv
Original file line number Diff line number Diff line change
Expand Up @@ -317,7 +317,9 @@ module axi_burst_splitter #(
// --------------------------------------------------
`ifndef VERILATOR
// pragma translate_off
`ifndef XILINX_SIMULATOR
default disable iff (!rst_ni);
`endif
// Inputs
assume property (@(posedge clk_i) slv_req_i.aw_valid |->
txn_supported(slv_req_i.aw.atop, slv_req_i.aw.burst, slv_req_i.aw.cache, slv_req_i.aw.len)
Expand Down
2 changes: 2 additions & 0 deletions src/axi_lite_demux.sv
Original file line number Diff line number Diff line change
Expand Up @@ -435,7 +435,9 @@ module axi_lite_demux #(

// pragma translate_off
`ifndef VERILATOR
`ifndef XILINX_SIMULATOR
default disable iff (!rst_ni);
`endif
aw_select: assume property( @(posedge clk_i) (slv_req_i.aw_valid |->
(slv_aw_select_i < NoMstPorts))) else
$fatal(1, "slv_aw_select_i is %d: AW has selected a slave that is not defined.\
Expand Down

0 comments on commit f8ff95e

Please sign in to comment.